(travis) Run a couple tests in single-threaded mode
Peter Rabbitson [Tue, 10 Feb 2015 18:16:03 +0000 (19:16 +0100)]
Semi-dogfooding - the massive paralellism hides the pain of how long exactly
does it take for a common user to install DBIC

(FWIW *dependency* installer timeout had to be bumped from 9 to 15 *MINUTES*)

.travis.yml
maint/travis-ci_scripts/10_before_install.bash
maint/travis-ci_scripts/common.bash

index 2a0804b..2064244 100644 (file)
@@ -75,7 +75,7 @@ perl:
 
 env:
   - CLEANTEST=false
-  - CLEANTEST=true
+  - CLEANTEST=true NUMTHREADS=1
 
 matrix:
   fast_finish: true
index ca93ef5..1f004ab 100755 (executable)
@@ -40,7 +40,9 @@ if [[ -n "$SHORT_CIRCUIT_SMOKE" ]] ; then return ; fi
 #
 # We also divide the result by a factor, otherwise the travis VM gets
 # overloaded (the amount of available swap is just TOOOO damn small)
-export NUMTHREADS="$(( ( $(perl -0777 -n -e 'print (/ (?: .+ ^ processor \s+ : \s+ (\d+) ) (?! ^ processor ) /smx)' < /proc/cpuinfo) + 1 ) / 3 ))"
+if [[ -z "$NUMTHREADS" ]] ; then
+  export NUMTHREADS="$(( ( $(perl -0777 -n -e 'print (/ (?: .+ ^ processor \s+ : \s+ (\d+) ) (?! ^ processor ) /smx)' < /proc/cpuinfo) + 1 ) / 3 ))"
+fi
 
 export CACHE_DIR="/tmp/poormanscache"
 
index 2fa43e0..f44b36e 100755 (executable)
@@ -4,7 +4,7 @@
 set -e
 
 TEST_STDERR_LOG=/tmp/dbictest.stderr
-TIMEOUT_CMD="/usr/bin/timeout --kill-after=9.5m --signal=TERM 9m"
+TIMEOUT_CMD="/usr/bin/timeout --kill-after=16m --signal=TERM 15m"
 
 echo_err() { echo "$@" 1>&2 ; }