Fixed tests
[sdlgit/SDL_perl.git] / t / core_overlay.t
CommitLineData
9346cc6b 1#!perl
2use strict;
3use warnings;
4use Test::More tests => 2;
5use SDL;
6
7use_ok('SDL::Overlay');
8
d5a2f5ac 9SDL::init(SDL_INIT_VIDEO);
9346cc6b 10
0e583675 11my $display = SDL::SetVideoMode(640,480,32, SDL_SWSURFACE );
9346cc6b 12
0e583675 13my $overlay = SDL::Overlay->new( 100, 100, SDL_YV12_OVERLAY, $display);
9346cc6b 14
15isa_ok( $overlay, 'SDL::Overlay');
16
c37bd817 17$overlay = undef;
18
19$display = undef;
20
21
9346cc6b 22
23