From: Marco Fontani Date: Sat, 20 Nov 2010 19:08:38 +0000 (+0000) Subject: Semicolon was missing, now found X-Git-Url: http://git.shadowcat.co.uk/gitweb/gitweb.cgi?p=p5sagit%2FOyster.git;a=commitdiff_plain;h=fd5557b21d84b7b9552a65c23ff441b7cd95b339 Semicolon was missing, now found --- diff --git a/lib/Oyster/Provision/Rackspace.pm b/lib/Oyster/Provision/Rackspace.pm index 1f31cfc..66d9ade 100644 --- a/lib/Oyster/Provision/Rackspace.pm +++ b/lib/Oyster/Provision/Rackspace.pm @@ -34,7 +34,7 @@ after BUILD => sub { # ... -} +}; sub create { my $self = shift;