allow under specification inside action syntax via <-
drwxr-xr-x lib
drwxr-xr-x t