switch to passing prop to resolve in, fix bug in with_one_step, update output
[scpubgit/DX.git] / need
2016-03-12 Matt S Trout actually, I implemented those (update "need" file)
2015-10-12 Matt S Trout member_at starting to work
2015-10-10 Matt S Trout dependency map up, some code running
2015-08-30 Matt S Trout initial partial sketch