Make test descriptions clearer
Dave Rolsky [Mon, 1 Sep 2008 14:51:13 +0000 (14:51 +0000)]
t/030_method.t

index a081038..821d49c 100644 (file)
@@ -22,13 +22,13 @@ is($method->package_name, 'main', '... our package is main::');
 is($method->name, '__ANON__', '... our sub name is __ANON__');
 is($method->fully_qualified_name, 'main::__ANON__', '... our subs full name is main::__ANON__');
 
-dies_ok { Class::MOP::Method->wrap } '... cant call this method without some code';
-dies_ok { Class::MOP::Method->wrap([]) } '... cant call this method without some code';
-dies_ok { Class::MOP::Method->wrap(bless {} => 'Fail') } '... cant call this method without some code';
+dies_ok { Class::MOP::Method->wrap } '... cant call wrap() without some code';
+dies_ok { Class::MOP::Method->wrap([]) } '... cant call wrap() without some code';
+dies_ok { Class::MOP::Method->wrap(bless {} => 'Fail') } '... cant call wrap() without some code';
 
-dies_ok { Class::MOP::Method->name } '... cant call this method with a class';
-dies_ok { Class::MOP::Method->package_name } '... cant call this method with a class';
-dies_ok { Class::MOP::Method->fully_qualified_name } '... cant call this method with a class';
+dies_ok { Class::MOP::Method->name } '... cant call name() with a class';
+dies_ok { Class::MOP::Method->package_name } '... cant call package_name() with a class';
+dies_ok { Class::MOP::Method->fully_qualified_name } '... cant call fully_qualified_name() with a class';
 
 my $meta = Class::MOP::Method->meta;
 isa_ok($meta, 'Class::MOP::Class');