Remove "spurious" (and unnecessary) variables from layer_syms
authorNick Ing-Simmons <nik@tiuk.ti.com>
Wed, 1 May 2002 06:50:24 +0000 (06:50 +0000)
committerNick Ing-Simmons <nik@tiuk.ti.com>
Wed, 1 May 2002 06:50:24 +0000 (06:50 +0000)
commitad6971c848a6c9ababdb3d314df9138e0a0f49d9
tree9c630acabbc0463394b0a259a8c02b68f2a7f4f3
parentd3fc1a44058d12315bd16e49f8c7fd1469fd6d9d
Remove "spurious" (and unnecessary) variables from layer_syms
Win32 (Win2k), MinGW-1.1 - passes all tests! ;-)

p4raw-id: //depot/perlio@16297
makedef.pl