Remove support for assertions and -A
[p5sagit/p5-mst-13.2.git] / xsutils.c
index 4ea4de2..0f8436b 100644 (file)
--- a/xsutils.c
+++ b/xsutils.c
@@ -71,17 +71,6 @@ modify_SV_attributes(pTHX_ SV *sv, SV **retlist, SV **attrlist, int numattrs)
        switch (SvTYPE(sv)) {
        case SVt_PVCV:
            switch ((int)len) {
-#ifdef CVf_ASSERTION
-           case 9:
-               if (memEQ(name, "assertion", 9)) {
-                   if (negated)
-                       CvFLAGS((CV*)sv) &= ~CVf_ASSERTION;
-                   else
-                       CvFLAGS((CV*)sv) |= CVf_ASSERTION;
-                   continue;
-               }
-               break;
-#endif
            case 6:
                switch (name[3]) {
 #ifdef CVf_LVALUE
@@ -230,8 +219,6 @@ usage:
            XPUSHs(sv_2mortal(newSVpvs("method")));
         if (GvUNIQUE(CvGV((CV*)sv)))
            XPUSHs(sv_2mortal(newSVpvs("unique")));
-       if (cvflags & CVf_ASSERTION)
-           XPUSHs(sv_2mortal(newSVpvs("assertion")));
        break;
     case SVt_PVGV:
        if (GvUNIQUE(sv))