Added test for Overlay, updated Build.PL to add Overlay, Also made sure that right...
[sdlgit/SDL_perl.git] / t / core_overlay.t
CommitLineData
9346cc6b 1#!perl
2use strict;
3use warnings;
4use Test::More tests => 2;
5use SDL;
6
7use_ok('SDL::Overlay');
8
9SDL::Init(SDL_INIT_VIDEO);
10
11my $display = SDL::SetVideoMode(640,480,32,0);
12
13my $overlay = SDL::Overlay->new( 0, 0, 0, $display);
14
15isa_ok( $overlay, 'SDL::Overlay');
16
17
18