Fix type mismatches in x2p's safe{alloc,realloc,free}.
[p5sagit/p5-mst-13.2.git] / global.sym
CommitLineData
8990e307 1# Global symbols that need to be hidden in embedded applications.
2
3# Variables
4
a0d0e21e 5AMG_names
55497cff 6Error
7He
79072805 8No
9Sv
463ee0b2 10Xpv
79072805 11Yes
a0d0e21e 12abs_amg
13add_amg
14add_ass_amg
463ee0b2 15additem
a0d0e21e 16amagic_generation
79072805 17an
a0d0e21e 18atan2_amg
a0d0e21e 19band_amg
55497cff 20block_type
a0d0e21e 21bool__amg
22bor_amg
79072805 23buf
24bufend
25bufptr
a0d0e21e 26bxor_amg
463ee0b2 27check
0c056c6b 28collation_ix
29collation_name
30collation_standard
31collxfrm_base
32collxfrm_mult
55497cff 33compcv
79072805 34compiling
a0d0e21e 35compl_amg
79072805 36comppad
8990e307 37comppad_name
38comppad_name_fill
f2f38ff6 39comppad_name_floor
a0d0e21e 40concat_amg
41concat_ass_amg
463ee0b2 42cop_seqmax
a0d0e21e 43cos_amg
79072805 44cryptseen
45cshlen
46cshname
47curinterp
48curpad
760ac839 49cv_const_sv
79072805 50dc
760ac839 51debug
a0d0e21e 52dec_amg
79072805 53di
a0d0e21e 54div_amg
55div_ass_amg
760ac839 56do_undump
79072805 57ds
58egid
a0d0e21e 59eq_amg
79072805 60error_count
61euid
8990e307 62evalseq
a0d0e21e 63exp_amg
463ee0b2 64expect
79072805 65expectterm
a0d0e21e 66fallback_amg
16d20bd9 67filter_add
68filter_del
69filter_read
79072805 70fold
0c056c6b 71fold_locale
79072805 72freq
a0d0e21e 73ge_amg
79072805 74gid
a0d0e21e 75gt_amg
79072805 76hexdigit
85e6fe83 77hints
463ee0b2 78in_my
a0d0e21e 79inc_amg
4db66d7b 80io_close
79072805 81know_next
82last_lop
8990e307 83last_lop_op
79072805 84last_uni
a0d0e21e 85le_amg
a0d0e21e 86lex_brackets
55497cff 87lex_brackstack
a0d0e21e 88lex_casemods
55497cff 89lex_casestack
90lex_defer
a0d0e21e 91lex_dojoin
55497cff 92lex_expect
93lex_fakebrack
94lex_formbrack
a0d0e21e 95lex_inpat
96lex_inwhat
55497cff 97lex_op
98lex_repl
99lex_starts
100lex_state
101lex_stuff
79072805 102linestr
a0d0e21e 103log_amg
104lshift_amg
105lshift_ass_amg
106lt_amg
463ee0b2 107markstack
108markstack_max
109markstack_ptr
8990e307 110max_intro_pending
55497cff 111maxo
8990e307 112min_intro_pending
a0d0e21e 113mod_amg
114mod_ass_amg
115mult_amg
116mult_ass_amg
79072805 117multi_close
118multi_end
119multi_open
120multi_start
463ee0b2 121na
a0d0e21e 122ncmp_amg
a0d0e21e 123ne_amg
124neg_amg
55497cff 125nexttoke
79072805 126nexttype
55497cff 127nexttype
128nextval
79072805 129nextval
55497cff 130nice_chunk
131nice_chunk_size
463ee0b2 132no_aelem
133no_dir_func
134no_func
135no_helem
136no_mem
137no_modify
55497cff 138no_myglob
463ee0b2 139no_security
140no_sock_func
55497cff 141no_symref
463ee0b2 142no_usym
55497cff 143no_wrongref
79072805 144nointrp
145nomem
146nomemok
a0d0e21e 147nomethod_amg
148not_amg
0c056c6b 149numeric_local
150numeric_name
151numeric_standard
a0d0e21e 152numer_amg
79072805 153oldbufptr
154oldoldbufptr
463ee0b2 155op
c07a80fd 156op_desc
463ee0b2 157op_name
158op_seqmax
159opargs
79072805 160origalen
161origenviron
b3f56690 162osname
55497cff 163pad_reset_pending
79072805 164padix
55497cff 165padix_floor
79072805 166patleave
a0d0e21e 167pow_amg
168pow_ass_amg
463ee0b2 169ppaddr
a0d0e21e 170profiledata
4db66d7b 171provide_ref
f2f38ff6 172psig_name
55497cff 173psig_ptr
a0d0e21e 174qrt_amg
463ee0b2 175rcsid
176reall_srchlen
177regarglen
79072805 178regbol
179regcode
463ee0b2 180regdummy
79072805 181regendp
182regeol
55497cff 183regflags
79072805 184reginput
b3f56690 185regkind
79072805 186reglastparen
187regmyendp
188regmyp_size
189regmystartp
463ee0b2 190regnarrate
a0d0e21e 191regnaughty
79072805 192regnpar
193regparse
194regprecomp
195regprev
196regsawback
79072805 197regsize
198regstartp
199regtill
200regxend
a0d0e21e 201repeat_amg
202repeat_ass_amg
463ee0b2 203retstack
204retstack_ix
205retstack_max
79072805 206rsfp
16d20bd9 207rsfp_filters
a0d0e21e 208rshift_amg
209rshift_ass_amg
55497cff 210save_iv
dcb3a360 211save_pptr
463ee0b2 212savestack
213savestack_ix
214savestack_max
79072805 215saw_return
a0d0e21e 216scmp_amg
463ee0b2 217scopestack
218scopestack_ix
219scopestack_max
220scrgv
a0d0e21e 221seq_amg
222sge_amg
223sgt_amg
463ee0b2 224sig_name
8e07c86e 225sig_num
a0d0e21e 226sighandler
463ee0b2 227simple
a0d0e21e 228sin_amg
229sle_amg
230slt_amg
231sne_amg
463ee0b2 232stack_base
233stack_max
234stack_sp
79072805 235statbuf
a0d0e21e 236string_amg
463ee0b2 237sub_generation
79072805 238subline
239subname
a0d0e21e 240subtr_amg
241subtr_ass_amg
79072805 242sv_no
243sv_undef
244sv_yes
245thisexpr
246timesbuf
247tokenbuf
248uid
463ee0b2 249varies
79072805 250vert
a0d0e21e 251vtbl_amagic
252vtbl_amagicelem
463ee0b2 253vtbl_arylen
254vtbl_bm
0c056c6b 255vtbl_collxfrm
463ee0b2 256vtbl_dbline
257vtbl_env
258vtbl_envelem
55497cff 259vtbl_fm
463ee0b2 260vtbl_glob
261vtbl_isa
262vtbl_isaelem
263vtbl_mglob
4a00a65a 264vtbl_nkeys
463ee0b2 265vtbl_pack
266vtbl_packelem
a0d0e21e 267vtbl_pos
463ee0b2 268vtbl_sig
269vtbl_sigelem
270vtbl_substr
271vtbl_sv
272vtbl_taint
273vtbl_uvar
274vtbl_vec
275warn_nl
276warn_nosemi
277warn_reserved
55497cff 278warn_uninit
463ee0b2 279watchaddr
280watchok
281yychar
282yycheck
283yydebug
284yydefred
285yydgoto
286yyerrflag
287yygindex
288yylen
289yylhs
290yylval
291yyname
292yynerrs
293yyrindex
294yyrule
295yysindex
296yytable
297yyval
79072805 298
299# Functions
463ee0b2 300
c07a80fd 301Gv_AMupdate
302amagic_call
463ee0b2 303append_elem
304append_list
305apply
c07a80fd 306assertref
463ee0b2 307av_clear
c07a80fd 308av_extend
463ee0b2 309av_fake
310av_fetch
311av_fill
463ee0b2 312av_len
313av_make
314av_pop
463ee0b2 315av_push
316av_shift
317av_store
318av_undef
319av_unshift
320bind_match
a0d0e21e 321block_end
322block_start
55497cff 323boot_core_UNIVERSAL
463ee0b2 324calllist
325cando
c07a80fd 326cast_ulong
463ee0b2 327check_uni
328checkcomma
329ck_aelem
55497cff 330ck_bitop
463ee0b2 331ck_concat
b3f56690 332ck_delete
463ee0b2 333ck_eof
334ck_eval
335ck_exec
463ee0b2 336ck_ftst
337ck_fun
0c056c6b 338ck_fun_locale
463ee0b2 339ck_glob
340ck_grep
341ck_gvconst
342ck_index
343ck_lengthconst
344ck_lfun
345ck_listiob
346ck_match
347ck_null
348ck_repeat
b3f56690 349ck_require
463ee0b2 350ck_retarget
b3f56690 351ck_rfun
463ee0b2 352ck_rvconst
0c056c6b 353ck_scmp
463ee0b2 354ck_select
355ck_shift
356ck_sort
a0d0e21e 357ck_spair
463ee0b2 358ck_split
359ck_subr
b3f56690 360ck_svconst
463ee0b2 361ck_trunc
362convert
463ee0b2 363cpytill
364croak
c07a80fd 365cv_clone
85e6fe83 366cv_undef
c07a80fd 367cx_dump
463ee0b2 368cxinc
369deb
370deb_growlevel
371debop
c07a80fd 372debprofdump
463ee0b2 373debstack
374debstackptrs
a0d0e21e 375deprecate
463ee0b2 376die
377die_where
378do_aexec
c07a80fd 379do_chomp
463ee0b2 380do_chop
381do_close
463ee0b2 382do_eof
383do_exec
384do_execfree
385do_ipcctl
386do_ipcget
387do_join
388do_kv
389do_msgrcv
390do_msgsnd
391do_open
392do_pipe
393do_print
394do_readline
395do_seek
396do_semop
397do_shmio
398do_sprintf
399do_tell
400do_trans
401do_vecset
402do_vop
403doeval
404dofindlabel
405dopoptoeval
c07a80fd 406dounwind
e50aee73 407dowantarray
463ee0b2 408dump_all
409dump_eval
c07a80fd 410dump_fds
411dump_form
463ee0b2 412dump_gv
c07a80fd 413dump_mstats
463ee0b2 414dump_op
415dump_packsubs
416dump_pm
417dump_sub
418fbm_compile
419fbm_instr
420fetch_gv
421fetch_io
c07a80fd 422filter_add
423filter_del
424filter_read
463ee0b2 425fold_constants
426force_ident
c07a80fd 427force_list
463ee0b2 428force_next
429force_word
430free_tmps
431gen_constant_list
463ee0b2 432gp_free
433gp_ref
434gv_AVadd
435gv_HVadd
c07a80fd 436gv_IOadd
463ee0b2 437gv_check
438gv_efullname
4a00a65a 439gv_efullname3
463ee0b2 440gv_fetchfile
441gv_fetchmeth
442gv_fetchmethod
443gv_fetchpv
444gv_fullname
4a00a65a 445gv_fullname3
463ee0b2 446gv_init
a0d0e21e 447gv_stashpv
f2f38ff6 448gv_stashpvn
a0d0e21e 449gv_stashsv
463ee0b2 450he_delayfree
451he_free
4633a7c4 452he_root
463ee0b2 453hoistmust
454hv_clear
455hv_delete
f2f38ff6 456hv_delete_ent
a0d0e21e 457hv_exists
f2f38ff6 458hv_exists_ent
463ee0b2 459hv_fetch
f2f38ff6 460hv_fetch_ent
463ee0b2 461hv_iterinit
462hv_iterkey
f2f38ff6 463hv_iterkeysv
463ee0b2 464hv_iternext
748a9306 465hv_iternextsv
463ee0b2 466hv_iterval
4a00a65a 467hv_ksplit
463ee0b2 468hv_magic
c07a80fd 469hv_stashpv
463ee0b2 470hv_store
f2f38ff6 471hv_store_ent
463ee0b2 472hv_undef
473ibcmp
0c056c6b 474ibcmp_locale
463ee0b2 475ingroup
476instr
0c056c6b 477intro_my
463ee0b2 478intuit_more
479invert
480jmaybe
481keyword
482leave_scope
483lex_end
484lex_start
485linklist
486list
487listkids
488localize
489looks_like_number
c07a80fd 490magic_clearenv
463ee0b2 491magic_clearpack
f2f38ff6 492magic_clearsig
c07a80fd 493magic_existspack
463ee0b2 494magic_get
495magic_getarylen
496magic_getglob
497magic_getpack
a0d0e21e 498magic_getpos
f2f38ff6 499magic_getsig
463ee0b2 500magic_gettaint
501magic_getuvar
502magic_len
503magic_nextpack
504magic_set
a0d0e21e 505magic_setamagic
463ee0b2 506magic_setarylen
507magic_setbm
0c056c6b 508magic_setcollxfrm
463ee0b2 509magic_setdbline
510magic_setenv
55497cff 511magic_setfm
463ee0b2 512magic_setglob
513magic_setisa
514magic_setmglob
4a00a65a 515magic_setnkeys
463ee0b2 516magic_setpack
a0d0e21e 517magic_setpos
463ee0b2 518magic_setsig
519magic_setsubstr
520magic_settaint
521magic_setuvar
522magic_setvec
a0d0e21e 523magic_wipepack
463ee0b2 524magicname
a0d0e21e 525markstack_grow
4a00a65a 526mem_collxfrm
463ee0b2 527mess
528mg_clear
529mg_copy
530mg_find
531mg_free
532mg_get
533mg_len
8990e307 534mg_magical
463ee0b2 535mg_set
536mod
537modkids
538moreswitches
c07a80fd 539mstats
463ee0b2 540my
c07a80fd 541my_bcopy
542my_bzero
f2f38ff6 543my_chsize
463ee0b2 544my_exit
c07a80fd 545my_htonl
463ee0b2 546my_lstat
c07a80fd 547my_memcmp
548my_ntohl
463ee0b2 549my_pclose
550my_popen
551my_setenv
552my_stat
c07a80fd 553my_swap
463ee0b2 554my_unexec
555newANONHASH
556newANONLIST
c07a80fd 557newANONSUB
463ee0b2 558newASSIGNOP
559newAV
560newAVREF
561newBINOP
562newCONDOP
463ee0b2 563newCVREF
564newFORM
565newFOROP
566newGVOP
567newGVREF
568newGVgen
569newHV
570newHVREF
571newIO
572newLISTOP
573newLOGOP
8990e307 574newLOOPEX
463ee0b2 575newLOOPOP
463ee0b2 576newNULLLIST
577newOP
578newPMOP
c07a80fd 579newPROG
463ee0b2 580newPVOP
581newRANGE
a0d0e21e 582newRV
463ee0b2 583newSLICEOP
584newSTATEOP
585newSUB
586newSV
587newSVOP
588newSVREF
589newSViv
590newSVnv
591newSVpv
c07a80fd 592newSVrv
463ee0b2 593newSVsv
594newUNOP
595newWHILEOP
a0d0e21e 596newXS
c07a80fd 597newXSUB
463ee0b2 598nextargv
599ninstr
600no_fh_allowed
601no_op
463ee0b2 602oopsAV
603oopsCV
604oopsHV
605op_free
606package
607pad_alloc
608pad_allocmy
609pad_findmy
610pad_free
611pad_leavemy
612pad_reset
613pad_sv
614pad_swipe
615peep
616pidgone
c07a80fd 617pmflag
463ee0b2 618pmruntime
619pmtrans
620pop_return
621pop_scope
622pp_aassign
b3f56690 623pp_abs
463ee0b2 624pp_accept
625pp_add
626pp_aelem
627pp_aelemfast
628pp_alarm
629pp_and
630pp_andassign
b3f56690 631pp_anoncode
463ee0b2 632pp_anonhash
633pp_anonlist
634pp_aslice
635pp_atan2
636pp_av2arylen
637pp_backtick
638pp_bind
639pp_binmode
640pp_bit_and
641pp_bit_or
b3f56690 642pp_bit_xor
463ee0b2 643pp_bless
644pp_caller
645pp_chdir
646pp_chmod
c07a80fd 647pp_chomp
463ee0b2 648pp_chop
649pp_chown
b3f56690 650pp_chr
463ee0b2 651pp_chroot
652pp_close
653pp_closedir
654pp_complement
655pp_concat
656pp_cond_expr
657pp_connect
658pp_const
659pp_cos
660pp_crypt
661pp_cswitch
662pp_dbmclose
663pp_dbmopen
664pp_dbstate
665pp_defined
666pp_delete
667pp_die
668pp_divide
669pp_dofile
463ee0b2 670pp_dump
671pp_each
672pp_egrent
673pp_ehostent
674pp_enetent
675pp_enter
676pp_entereval
677pp_enteriter
678pp_enterloop
a0d0e21e 679pp_entersub
463ee0b2 680pp_entersubr
681pp_entertry
682pp_enterwrite
683pp_eof
684pp_eprotoent
685pp_epwent
686pp_eq
687pp_eservent
688pp_evalonce
689pp_exec
a0d0e21e 690pp_exists
463ee0b2 691pp_exit
692pp_exp
693pp_fcntl
694pp_fileno
695pp_flip
696pp_flock
697pp_flop
698pp_fork
699pp_formline
700pp_ftatime
701pp_ftbinary
702pp_ftblk
703pp_ftchr
704pp_ftctime
705pp_ftdir
706pp_fteexec
707pp_fteowned
708pp_fteread
709pp_ftewrite
710pp_ftfile
711pp_ftis
712pp_ftlink
713pp_ftmtime
714pp_ftpipe
715pp_ftrexec
716pp_ftrowned
717pp_ftrread
718pp_ftrwrite
719pp_ftsgid
720pp_ftsize
721pp_ftsock
722pp_ftsuid
723pp_ftsvtx
724pp_fttext
725pp_fttty
726pp_ftzero
727pp_ge
b3f56690 728pp_gelem
463ee0b2 729pp_getc
730pp_getlogin
731pp_getpeername
732pp_getpgrp
733pp_getppid
734pp_getpriority
735pp_getsockname
736pp_ggrent
737pp_ggrgid
738pp_ggrnam
739pp_ghbyaddr
740pp_ghbyname
741pp_ghostent
742pp_glob
743pp_gmtime
744pp_gnbyaddr
745pp_gnbyname
746pp_gnetent
747pp_goto
748pp_gpbyname
749pp_gpbynumber
750pp_gprotoent
751pp_gpwent
752pp_gpwnam
753pp_gpwuid
754pp_grepstart
755pp_grepwhile
756pp_gsbyname
757pp_gsbyport
758pp_gservent
759pp_gsockopt
760pp_gt
761pp_gv
762pp_gvsv
763pp_helem
764pp_hex
765pp_hslice
b3f56690 766pp_i_add
767pp_i_divide
768pp_i_eq
769pp_i_ge
770pp_i_gt
771pp_i_le
772pp_i_lt
773pp_i_modulo
774pp_i_multiply
775pp_i_ncmp
776pp_i_ne
777pp_i_negate
778pp_i_subtract
463ee0b2 779pp_index
780pp_indread
781pp_int
463ee0b2 782pp_interp
783pp_ioctl
784pp_iter
785pp_join
786pp_keys
787pp_kill
788pp_last
789pp_lc
790pp_lcfirst
791pp_le
792pp_leave
793pp_leaveeval
794pp_leaveloop
a0d0e21e 795pp_leavesub
463ee0b2 796pp_leavetry
797pp_leavewrite
798pp_left_shift
799pp_length
800pp_lineseq
801pp_link
802pp_list
803pp_listen
804pp_localtime
805pp_log
806pp_lslice
807pp_lstat
808pp_lt
a0d0e21e 809pp_map
b3f56690 810pp_mapstart
811pp_mapwhile
463ee0b2 812pp_match
813pp_method
814pp_mkdir
815pp_modulo
816pp_msgctl
817pp_msgget
818pp_msgrcv
819pp_msgsnd
820pp_multiply
821pp_ncmp
822pp_ne
823pp_negate
824pp_next
825pp_nextstate
826pp_not
827pp_nswitch
828pp_null
829pp_oct
830pp_open
831pp_open_dir
832pp_or
833pp_orassign
834pp_ord
835pp_pack
b3f56690 836pp_padany
463ee0b2 837pp_padav
838pp_padhv
839pp_padsv
840pp_pipe_op
841pp_pop
a0d0e21e 842pp_pos
463ee0b2 843pp_postdec
844pp_postinc
845pp_pow
846pp_predec
847pp_preinc
848pp_print
c07a80fd 849pp_prototype
463ee0b2 850pp_prtf
851pp_push
852pp_pushmark
853pp_pushre
b3f56690 854pp_quotemeta
463ee0b2 855pp_rand
856pp_range
857pp_rcatline
858pp_read
859pp_readdir
860pp_readline
861pp_readlink
862pp_recv
863pp_redo
864pp_ref
865pp_refgen
866pp_regcmaybe
867pp_regcomp
868pp_rename
869pp_repeat
870pp_require
871pp_reset
872pp_return
873pp_reverse
874pp_rewinddir
875pp_right_shift
876pp_rindex
877pp_rmdir
878pp_rv2av
879pp_rv2cv
880pp_rv2gv
881pp_rv2hv
882pp_rv2sv
883pp_sassign
884pp_scalar
c07a80fd 885pp_schomp
463ee0b2 886pp_schop
887pp_scmp
888pp_scope
889pp_seek
890pp_seekdir
891pp_select
892pp_semctl
893pp_semget
894pp_semop
895pp_send
896pp_seq
897pp_setpgrp
898pp_setpriority
899pp_sge
900pp_sgrent
901pp_sgt
902pp_shift
903pp_shmctl
904pp_shmget
905pp_shmread
906pp_shmwrite
907pp_shostent
908pp_shutdown
909pp_sin
910pp_sle
911pp_sleep
912pp_slt
913pp_sne
914pp_snetent
915pp_socket
916pp_sockpair
917pp_sort
918pp_splice
919pp_split
920pp_sprintf
921pp_sprotoent
922pp_spwent
923pp_sqrt
924pp_srand
a0d0e21e 925pp_srefgen
463ee0b2 926pp_sselect
927pp_sservent
928pp_ssockopt
929pp_stat
b3f56690 930pp_stringify
463ee0b2 931pp_stub
932pp_study
933pp_subst
934pp_substcont
935pp_substr
936pp_subtract
463ee0b2 937pp_symlink
938pp_syscall
b3f56690 939pp_sysopen
463ee0b2 940pp_sysread
941pp_system
942pp_syswrite
943pp_tell
944pp_telldir
945pp_tie
c07a80fd 946pp_tied
463ee0b2 947pp_time
948pp_tms
949pp_trans
950pp_truncate
951pp_uc
952pp_ucfirst
953pp_umask
954pp_undef
955pp_unlink
956pp_unpack
957pp_unshift
958pp_unstack
959pp_untie
960pp_utime
961pp_values
962pp_vec
963pp_wait
964pp_waitpid
965pp_wantarray
966pp_warn
967pp_xor
c07a80fd 968pregcomp
969pregexec
970pregfree
463ee0b2 971prepend_elem
972push_return
973push_scope
463ee0b2 974q
975ref
976refkids
463ee0b2 977regdump
463ee0b2 978regnext
979regprop
980repeatcpy
981rninstr
b3f56690 982runops
55497cff 983safecalloc
984safemalloc
985safefree
986saferealloc
987safexcalloc
988safexmalloc
989safexfree
990safexrealloc
c07a80fd 991same_dirent
55497cff 992save_I16
463ee0b2 993save_I32
994save_aptr
995save_ary
8990e307 996save_clearsv
997save_delete
c07a80fd 998save_destructor
8990e307 999save_freeop
1000save_freepv
1001save_freesv
463ee0b2 1002save_hash
1003save_hptr
1004save_int
1005save_item
1006save_list
c07a80fd 1007save_long
463ee0b2 1008save_nogv
c07a80fd 1009save_pptr
463ee0b2 1010save_scalar
1011save_sptr
1012save_svref
c07a80fd 1013savepv
1014savepvn
463ee0b2 1015savestack_grow
463ee0b2 1016sawparens
1017scalar
1018scalarkids
1019scalarseq
1020scalarvoid
1021scan_const
1022scan_formline
1023scan_heredoc
1024scan_hex
1025scan_ident
1026scan_inputsymbol
1027scan_num
1028scan_oct
1029scan_pat
1030scan_prefix
1031scan_str
1032scan_subst
1033scan_trans
1034scan_word
1035scope
1036screaminstr
4633a7c4 1037setdefout
463ee0b2 1038setenv_getix
f2f38ff6 1039sharepvn
c07a80fd 1040sighandler
463ee0b2 1041skipspace
a0d0e21e 1042stack_grow
8990e307 1043start_subparse
463ee0b2 1044sublex_done
1045sublex_start
1046sv_2bool
1047sv_2cv
a0d0e21e 1048sv_2io
463ee0b2 1049sv_2iv
1050sv_2mortal
1051sv_2nv
1052sv_2pv
4633a7c4 1053sv_add_arena
463ee0b2 1054sv_backoff
a0d0e21e 1055sv_bless
463ee0b2 1056sv_catpv
1057sv_catpvn
1058sv_catsv
1059sv_chop
8990e307 1060sv_clean_all
a0d0e21e 1061sv_clean_objs
463ee0b2 1062sv_clear
1063sv_cmp
0c056c6b 1064sv_cmp_locale
1065sv_collxfrm
463ee0b2 1066sv_dec
55497cff 1067sv_derived_from
8990e307 1068sv_dump
463ee0b2 1069sv_eq
1070sv_free
c07a80fd 1071sv_free_arenas
463ee0b2 1072sv_gets
1073sv_grow
1074sv_inc
1075sv_insert
1076sv_isa
1fc4cb55 1077sv_isobject
463ee0b2 1078sv_len
1079sv_magic
1080sv_mortalcopy
8990e307 1081sv_newmortal
c07a80fd 1082sv_newref
463ee0b2 1083sv_peek
c07a80fd 1084sv_pvn_force
463ee0b2 1085sv_ref
c07a80fd 1086sv_reftype
463ee0b2 1087sv_replace
8990e307 1088sv_report_used
463ee0b2 1089sv_reset
1090sv_setiv
1091sv_setnv
1092sv_setptrobj
1093sv_setpv
1094sv_setpvn
a0d0e21e 1095sv_setref_iv
c07a80fd 1096sv_setref_nv
a0d0e21e 1097sv_setref_pv
c07a80fd 1098sv_setref_pvn
463ee0b2 1099sv_setsv
55497cff 1100sv_setuv
0c056c6b 1101sv_taint
1102sv_tainted
463ee0b2 1103sv_unmagic
c07a80fd 1104sv_unref
0c056c6b 1105sv_untaint
463ee0b2 1106sv_upgrade
1107sv_usepvn
1108taint_env
463ee0b2 1109taint_proper
1110too_few_arguments
1111too_many_arguments
c07a80fd 1112unlnk
f2f38ff6 1113unsharepvn
c07a80fd 1114utilize
463ee0b2 1115wait4pid
1116warn
1117watch
1118whichsig
a0d0e21e 1119xiv_arenaroot
8990e307 1120xiv_root
1121xnv_root
1122xpv_root
1123xrv_root
1124yyerror
55497cff 1125yydestruct
463ee0b2 1126yylex
1127yyparse
8990e307 1128yywarn