[inseparable changes from patch from perl5.003_12 to perl5.003_13]
[p5sagit/p5-mst-13.2.git] / global.sym
CommitLineData
8990e307 1# Global symbols that need to be hidden in embedded applications.
2
3# Variables
4
a0d0e21e 5AMG_names
55497cff 6Error
79072805 7No
8Sv
463ee0b2 9Xpv
79072805 10Yes
a0d0e21e 11abs_amg
12add_amg
13add_ass_amg
463ee0b2 14additem
a0d0e21e 15amagic_generation
79072805 16an
a0d0e21e 17atan2_amg
a0d0e21e 18band_amg
55497cff 19block_type
a0d0e21e 20bool__amg
21bor_amg
79072805 22buf
23bufend
24bufptr
a0d0e21e 25bxor_amg
463ee0b2 26check
0c056c6b 27collation_ix
28collation_name
29collation_standard
30collxfrm_base
31collxfrm_mult
55497cff 32compcv
79072805 33compiling
a0d0e21e 34compl_amg
79072805 35comppad
8990e307 36comppad_name
37comppad_name_fill
f2f38ff6 38comppad_name_floor
a0d0e21e 39concat_amg
40concat_ass_amg
463ee0b2 41cop_seqmax
a0d0e21e 42cos_amg
79072805 43cryptseen
44cshlen
45cshname
46curinterp
47curpad
760ac839 48cv_const_sv
79072805 49dc
760ac839 50debug
a0d0e21e 51dec_amg
79072805 52di
a0d0e21e 53div_amg
54div_ass_amg
760ac839 55do_undump
79072805 56ds
57egid
a0d0e21e 58eq_amg
79072805 59error_count
60euid
8990e307 61evalseq
a0d0e21e 62exp_amg
463ee0b2 63expect
79072805 64expectterm
a0d0e21e 65fallback_amg
79072805 66fold
0c056c6b 67fold_locale
79072805 68freq
a0d0e21e 69ge_amg
79072805 70gid
a0d0e21e 71gt_amg
79072805 72hexdigit
85e6fe83 73hints
463ee0b2 74in_my
a0d0e21e 75inc_amg
4db66d7b 76io_close
79072805 77know_next
78last_lop
8990e307 79last_lop_op
79072805 80last_uni
a0d0e21e 81le_amg
a0d0e21e 82lex_brackets
55497cff 83lex_brackstack
a0d0e21e 84lex_casemods
55497cff 85lex_casestack
86lex_defer
a0d0e21e 87lex_dojoin
55497cff 88lex_expect
89lex_fakebrack
90lex_formbrack
a0d0e21e 91lex_inpat
92lex_inwhat
55497cff 93lex_op
94lex_repl
95lex_starts
96lex_state
97lex_stuff
79072805 98linestr
a0d0e21e 99log_amg
100lshift_amg
101lshift_ass_amg
102lt_amg
463ee0b2 103markstack
104markstack_max
105markstack_ptr
8990e307 106max_intro_pending
55497cff 107maxo
8990e307 108min_intro_pending
a0d0e21e 109mod_amg
110mod_ass_amg
111mult_amg
112mult_ass_amg
79072805 113multi_close
114multi_end
115multi_open
116multi_start
463ee0b2 117na
a0d0e21e 118ncmp_amg
a0d0e21e 119ne_amg
120neg_amg
55497cff 121nexttoke
79072805 122nexttype
123nextval
55497cff 124nice_chunk
125nice_chunk_size
463ee0b2 126no_aelem
127no_dir_func
128no_func
129no_helem
130no_mem
131no_modify
55497cff 132no_myglob
463ee0b2 133no_security
134no_sock_func
55497cff 135no_symref
463ee0b2 136no_usym
55497cff 137no_wrongref
79072805 138nointrp
139nomem
140nomemok
a0d0e21e 141nomethod_amg
142not_amg
0c056c6b 143numeric_local
144numeric_name
145numeric_standard
a0d0e21e 146numer_amg
79072805 147oldbufptr
148oldoldbufptr
463ee0b2 149op
c07a80fd 150op_desc
463ee0b2 151op_name
152op_seqmax
153opargs
79072805 154origalen
155origenviron
b3f56690 156osname
55497cff 157pad_reset_pending
79072805 158padix
55497cff 159padix_floor
79072805 160patleave
a0d0e21e 161pow_amg
162pow_ass_amg
463ee0b2 163ppaddr
a0d0e21e 164profiledata
4db66d7b 165provide_ref
f2f38ff6 166psig_name
55497cff 167psig_ptr
a0d0e21e 168qrt_amg
463ee0b2 169rcsid
170reall_srchlen
171regarglen
79072805 172regbol
173regcode
463ee0b2 174regdummy
79072805 175regendp
176regeol
55497cff 177regflags
79072805 178reginput
b3f56690 179regkind
79072805 180reglastparen
181regmyendp
182regmyp_size
183regmystartp
463ee0b2 184regnarrate
a0d0e21e 185regnaughty
79072805 186regnpar
187regparse
188regprecomp
189regprev
190regsawback
79072805 191regsize
192regstartp
193regtill
194regxend
a0d0e21e 195repeat_amg
196repeat_ass_amg
463ee0b2 197retstack
198retstack_ix
199retstack_max
79072805 200rsfp
16d20bd9 201rsfp_filters
a0d0e21e 202rshift_amg
203rshift_ass_amg
463ee0b2 204savestack
205savestack_ix
206savestack_max
79072805 207saw_return
a0d0e21e 208scmp_amg
463ee0b2 209scopestack
210scopestack_ix
211scopestack_max
212scrgv
a0d0e21e 213seq_amg
214sge_amg
215sgt_amg
ff68c719 216sh_path
463ee0b2 217sig_name
8e07c86e 218sig_num
463ee0b2 219simple
a0d0e21e 220sin_amg
221sle_amg
222slt_amg
223sne_amg
463ee0b2 224stack_base
225stack_max
226stack_sp
79072805 227statbuf
a0d0e21e 228string_amg
463ee0b2 229sub_generation
79072805 230subline
231subname
a0d0e21e 232subtr_amg
233subtr_ass_amg
79072805 234sv_no
235sv_undef
236sv_yes
237thisexpr
238timesbuf
239tokenbuf
240uid
463ee0b2 241varies
79072805 242vert
a0d0e21e 243vtbl_amagic
244vtbl_amagicelem
463ee0b2 245vtbl_arylen
246vtbl_bm
0c056c6b 247vtbl_collxfrm
463ee0b2 248vtbl_dbline
249vtbl_env
250vtbl_envelem
55497cff 251vtbl_fm
463ee0b2 252vtbl_glob
253vtbl_isa
254vtbl_isaelem
255vtbl_mglob
4a00a65a 256vtbl_nkeys
463ee0b2 257vtbl_pack
258vtbl_packelem
a0d0e21e 259vtbl_pos
463ee0b2 260vtbl_sig
261vtbl_sigelem
262vtbl_substr
263vtbl_sv
264vtbl_taint
265vtbl_uvar
266vtbl_vec
267warn_nl
268warn_nosemi
269warn_reserved
55497cff 270warn_uninit
463ee0b2 271watchaddr
272watchok
273yychar
274yycheck
275yydebug
276yydefred
277yydgoto
278yyerrflag
279yygindex
280yylen
281yylhs
282yylval
283yyname
284yynerrs
285yyrindex
286yyrule
287yysindex
288yytable
289yyval
79072805 290
291# Functions
463ee0b2 292
c07a80fd 293Gv_AMupdate
ff68c719 294SvTRUE
295SvIV
296SvUV
297SvNV
c07a80fd 298amagic_call
463ee0b2 299append_elem
300append_list
301apply
c07a80fd 302assertref
463ee0b2 303av_clear
c07a80fd 304av_extend
463ee0b2 305av_fake
306av_fetch
307av_fill
463ee0b2 308av_len
309av_make
310av_pop
463ee0b2 311av_push
312av_shift
313av_store
314av_undef
315av_unshift
316bind_match
a0d0e21e 317block_end
318block_start
55497cff 319boot_core_UNIVERSAL
463ee0b2 320calllist
321cando
c07a80fd 322cast_ulong
463ee0b2 323check_uni
324checkcomma
325ck_aelem
55497cff 326ck_bitop
463ee0b2 327ck_concat
b3f56690 328ck_delete
463ee0b2 329ck_eof
330ck_eval
331ck_exec
463ee0b2 332ck_ftst
333ck_fun
0c056c6b 334ck_fun_locale
463ee0b2 335ck_glob
336ck_grep
337ck_gvconst
338ck_index
339ck_lengthconst
340ck_lfun
341ck_listiob
342ck_match
343ck_null
344ck_repeat
b3f56690 345ck_require
463ee0b2 346ck_retarget
b3f56690 347ck_rfun
463ee0b2 348ck_rvconst
0c056c6b 349ck_scmp
463ee0b2 350ck_select
351ck_shift
352ck_sort
a0d0e21e 353ck_spair
463ee0b2 354ck_split
355ck_subr
b3f56690 356ck_svconst
463ee0b2 357ck_trunc
358convert
463ee0b2 359cpytill
360croak
c07a80fd 361cv_clone
85e6fe83 362cv_undef
c07a80fd 363cx_dump
463ee0b2 364cxinc
365deb
366deb_growlevel
367debop
c07a80fd 368debprofdump
463ee0b2 369debstack
370debstackptrs
a0d0e21e 371deprecate
463ee0b2 372die
373die_where
374do_aexec
c07a80fd 375do_chomp
463ee0b2 376do_chop
377do_close
463ee0b2 378do_eof
379do_exec
380do_execfree
381do_ipcctl
382do_ipcget
383do_join
384do_kv
385do_msgrcv
386do_msgsnd
387do_open
388do_pipe
389do_print
390do_readline
391do_seek
392do_semop
393do_shmio
394do_sprintf
395do_tell
396do_trans
397do_vecset
398do_vop
399doeval
400dofindlabel
401dopoptoeval
c07a80fd 402dounwind
e50aee73 403dowantarray
463ee0b2 404dump_all
405dump_eval
c07a80fd 406dump_fds
407dump_form
463ee0b2 408dump_gv
c07a80fd 409dump_mstats
463ee0b2 410dump_op
411dump_packsubs
412dump_pm
413dump_sub
414fbm_compile
415fbm_instr
416fetch_gv
417fetch_io
c07a80fd 418filter_add
419filter_del
420filter_read
463ee0b2 421fold_constants
422force_ident
c07a80fd 423force_list
463ee0b2 424force_next
425force_word
426free_tmps
427gen_constant_list
463ee0b2 428gp_free
429gp_ref
430gv_AVadd
431gv_HVadd
c07a80fd 432gv_IOadd
463ee0b2 433gv_check
434gv_efullname
4a00a65a 435gv_efullname3
463ee0b2 436gv_fetchfile
437gv_fetchmeth
438gv_fetchmethod
439gv_fetchpv
440gv_fullname
4a00a65a 441gv_fullname3
463ee0b2 442gv_init
a0d0e21e 443gv_stashpv
f2f38ff6 444gv_stashpvn
a0d0e21e 445gv_stashsv
463ee0b2 446he_delayfree
447he_free
4633a7c4 448he_root
463ee0b2 449hoistmust
450hv_clear
451hv_delete
f2f38ff6 452hv_delete_ent
a0d0e21e 453hv_exists
f2f38ff6 454hv_exists_ent
463ee0b2 455hv_fetch
f2f38ff6 456hv_fetch_ent
463ee0b2 457hv_iterinit
458hv_iterkey
f2f38ff6 459hv_iterkeysv
463ee0b2 460hv_iternext
748a9306 461hv_iternextsv
463ee0b2 462hv_iterval
4a00a65a 463hv_ksplit
463ee0b2 464hv_magic
c07a80fd 465hv_stashpv
463ee0b2 466hv_store
f2f38ff6 467hv_store_ent
463ee0b2 468hv_undef
469ibcmp
0c056c6b 470ibcmp_locale
463ee0b2 471ingroup
472instr
0c056c6b 473intro_my
463ee0b2 474intuit_more
475invert
476jmaybe
477keyword
478leave_scope
479lex_end
480lex_start
481linklist
482list
483listkids
484localize
485looks_like_number
c07a80fd 486magic_clearenv
463ee0b2 487magic_clearpack
f2f38ff6 488magic_clearsig
c07a80fd 489magic_existspack
5f05dabc 490magic_freevivary
463ee0b2 491magic_get
492magic_getarylen
493magic_getglob
494magic_getpack
a0d0e21e 495magic_getpos
f2f38ff6 496magic_getsig
463ee0b2 497magic_gettaint
498magic_getuvar
499magic_len
500magic_nextpack
501magic_set
a0d0e21e 502magic_setamagic
463ee0b2 503magic_setarylen
504magic_setbm
0c056c6b 505magic_setcollxfrm
463ee0b2 506magic_setdbline
507magic_setenv
55497cff 508magic_setfm
463ee0b2 509magic_setglob
510magic_setisa
511magic_setmglob
4a00a65a 512magic_setnkeys
463ee0b2 513magic_setpack
a0d0e21e 514magic_setpos
463ee0b2 515magic_setsig
516magic_setsubstr
517magic_settaint
518magic_setuvar
519magic_setvec
5f05dabc 520magic_setvivary
a0d0e21e 521magic_wipepack
463ee0b2 522magicname
a0d0e21e 523markstack_grow
4a00a65a 524mem_collxfrm
463ee0b2 525mess
526mg_clear
527mg_copy
528mg_find
529mg_free
530mg_get
531mg_len
8990e307 532mg_magical
463ee0b2 533mg_set
534mod
535modkids
536moreswitches
c07a80fd 537mstats
463ee0b2 538my
c07a80fd 539my_bcopy
540my_bzero
f2f38ff6 541my_chsize
463ee0b2 542my_exit
c07a80fd 543my_htonl
463ee0b2 544my_lstat
c07a80fd 545my_memcmp
546my_ntohl
463ee0b2 547my_pclose
548my_popen
549my_setenv
550my_stat
c07a80fd 551my_swap
463ee0b2 552my_unexec
553newANONHASH
554newANONLIST
c07a80fd 555newANONSUB
463ee0b2 556newASSIGNOP
557newAV
558newAVREF
559newBINOP
560newCONDOP
463ee0b2 561newCVREF
562newFORM
563newFOROP
564newGVOP
565newGVREF
566newGVgen
567newHV
568newHVREF
569newIO
570newLISTOP
571newLOGOP
8990e307 572newLOOPEX
463ee0b2 573newLOOPOP
463ee0b2 574newNULLLIST
575newOP
576newPMOP
c07a80fd 577newPROG
463ee0b2 578newPVOP
579newRANGE
a0d0e21e 580newRV
463ee0b2 581newSLICEOP
582newSTATEOP
583newSUB
584newSV
585newSVOP
586newSVREF
587newSViv
588newSVnv
589newSVpv
c07a80fd 590newSVrv
463ee0b2 591newSVsv
592newUNOP
593newWHILEOP
a0d0e21e 594newXS
c07a80fd 595newXSUB
463ee0b2 596nextargv
597ninstr
598no_fh_allowed
599no_op
463ee0b2 600oopsAV
601oopsCV
602oopsHV
603op_free
604package
605pad_alloc
606pad_allocmy
607pad_findmy
608pad_free
609pad_leavemy
610pad_reset
611pad_sv
612pad_swipe
613peep
614pidgone
c07a80fd 615pmflag
463ee0b2 616pmruntime
617pmtrans
618pop_return
619pop_scope
620pp_aassign
b3f56690 621pp_abs
463ee0b2 622pp_accept
623pp_add
624pp_aelem
625pp_aelemfast
626pp_alarm
627pp_and
628pp_andassign
b3f56690 629pp_anoncode
463ee0b2 630pp_anonhash
631pp_anonlist
632pp_aslice
633pp_atan2
634pp_av2arylen
635pp_backtick
636pp_bind
637pp_binmode
638pp_bit_and
639pp_bit_or
b3f56690 640pp_bit_xor
463ee0b2 641pp_bless
642pp_caller
643pp_chdir
644pp_chmod
c07a80fd 645pp_chomp
463ee0b2 646pp_chop
647pp_chown
b3f56690 648pp_chr
463ee0b2 649pp_chroot
650pp_close
651pp_closedir
652pp_complement
653pp_concat
654pp_cond_expr
655pp_connect
656pp_const
657pp_cos
658pp_crypt
659pp_cswitch
660pp_dbmclose
661pp_dbmopen
662pp_dbstate
663pp_defined
664pp_delete
665pp_die
666pp_divide
667pp_dofile
463ee0b2 668pp_dump
669pp_each
670pp_egrent
671pp_ehostent
672pp_enetent
673pp_enter
674pp_entereval
675pp_enteriter
676pp_enterloop
a0d0e21e 677pp_entersub
463ee0b2 678pp_entersubr
679pp_entertry
680pp_enterwrite
681pp_eof
682pp_eprotoent
683pp_epwent
684pp_eq
685pp_eservent
686pp_evalonce
687pp_exec
a0d0e21e 688pp_exists
463ee0b2 689pp_exit
690pp_exp
691pp_fcntl
692pp_fileno
693pp_flip
694pp_flock
695pp_flop
696pp_fork
697pp_formline
698pp_ftatime
699pp_ftbinary
700pp_ftblk
701pp_ftchr
702pp_ftctime
703pp_ftdir
704pp_fteexec
705pp_fteowned
706pp_fteread
707pp_ftewrite
708pp_ftfile
709pp_ftis
710pp_ftlink
711pp_ftmtime
712pp_ftpipe
713pp_ftrexec
714pp_ftrowned
715pp_ftrread
716pp_ftrwrite
717pp_ftsgid
718pp_ftsize
719pp_ftsock
720pp_ftsuid
721pp_ftsvtx
722pp_fttext
723pp_fttty
724pp_ftzero
725pp_ge
b3f56690 726pp_gelem
463ee0b2 727pp_getc
728pp_getlogin
729pp_getpeername
730pp_getpgrp
731pp_getppid
732pp_getpriority
733pp_getsockname
734pp_ggrent
735pp_ggrgid
736pp_ggrnam
737pp_ghbyaddr
738pp_ghbyname
739pp_ghostent
740pp_glob
741pp_gmtime
742pp_gnbyaddr
743pp_gnbyname
744pp_gnetent
745pp_goto
746pp_gpbyname
747pp_gpbynumber
748pp_gprotoent
749pp_gpwent
750pp_gpwnam
751pp_gpwuid
752pp_grepstart
753pp_grepwhile
754pp_gsbyname
755pp_gsbyport
756pp_gservent
757pp_gsockopt
758pp_gt
759pp_gv
760pp_gvsv
761pp_helem
762pp_hex
763pp_hslice
b3f56690 764pp_i_add
765pp_i_divide
766pp_i_eq
767pp_i_ge
768pp_i_gt
769pp_i_le
770pp_i_lt
771pp_i_modulo
772pp_i_multiply
773pp_i_ncmp
774pp_i_ne
775pp_i_negate
776pp_i_subtract
463ee0b2 777pp_index
778pp_indread
779pp_int
463ee0b2 780pp_interp
781pp_ioctl
782pp_iter
783pp_join
784pp_keys
785pp_kill
786pp_last
787pp_lc
788pp_lcfirst
789pp_le
790pp_leave
791pp_leaveeval
792pp_leaveloop
a0d0e21e 793pp_leavesub
463ee0b2 794pp_leavetry
795pp_leavewrite
796pp_left_shift
797pp_length
798pp_lineseq
799pp_link
800pp_list
801pp_listen
802pp_localtime
803pp_log
804pp_lslice
805pp_lstat
806pp_lt
a0d0e21e 807pp_map
b3f56690 808pp_mapstart
809pp_mapwhile
463ee0b2 810pp_match
811pp_method
812pp_mkdir
813pp_modulo
814pp_msgctl
815pp_msgget
816pp_msgrcv
817pp_msgsnd
818pp_multiply
819pp_ncmp
820pp_ne
821pp_negate
822pp_next
823pp_nextstate
824pp_not
825pp_nswitch
826pp_null
827pp_oct
828pp_open
829pp_open_dir
830pp_or
831pp_orassign
832pp_ord
833pp_pack
b3f56690 834pp_padany
463ee0b2 835pp_padav
836pp_padhv
837pp_padsv
838pp_pipe_op
839pp_pop
a0d0e21e 840pp_pos
463ee0b2 841pp_postdec
842pp_postinc
843pp_pow
844pp_predec
845pp_preinc
846pp_print
c07a80fd 847pp_prototype
463ee0b2 848pp_prtf
849pp_push
850pp_pushmark
851pp_pushre
b3f56690 852pp_quotemeta
463ee0b2 853pp_rand
854pp_range
855pp_rcatline
856pp_read
857pp_readdir
858pp_readline
859pp_readlink
860pp_recv
861pp_redo
862pp_ref
863pp_refgen
864pp_regcmaybe
865pp_regcomp
866pp_rename
867pp_repeat
868pp_require
869pp_reset
870pp_return
871pp_reverse
872pp_rewinddir
873pp_right_shift
874pp_rindex
875pp_rmdir
876pp_rv2av
877pp_rv2cv
878pp_rv2gv
879pp_rv2hv
880pp_rv2sv
881pp_sassign
882pp_scalar
c07a80fd 883pp_schomp
463ee0b2 884pp_schop
885pp_scmp
886pp_scope
887pp_seek
888pp_seekdir
889pp_select
890pp_semctl
891pp_semget
892pp_semop
893pp_send
894pp_seq
895pp_setpgrp
896pp_setpriority
897pp_sge
898pp_sgrent
899pp_sgt
900pp_shift
901pp_shmctl
902pp_shmget
903pp_shmread
904pp_shmwrite
905pp_shostent
906pp_shutdown
907pp_sin
908pp_sle
909pp_sleep
910pp_slt
911pp_sne
912pp_snetent
913pp_socket
914pp_sockpair
915pp_sort
916pp_splice
917pp_split
918pp_sprintf
919pp_sprotoent
920pp_spwent
921pp_sqrt
922pp_srand
a0d0e21e 923pp_srefgen
463ee0b2 924pp_sselect
925pp_sservent
926pp_ssockopt
927pp_stat
b3f56690 928pp_stringify
463ee0b2 929pp_stub
930pp_study
931pp_subst
932pp_substcont
933pp_substr
934pp_subtract
463ee0b2 935pp_symlink
936pp_syscall
b3f56690 937pp_sysopen
463ee0b2 938pp_sysread
939pp_system
940pp_syswrite
941pp_tell
942pp_telldir
943pp_tie
c07a80fd 944pp_tied
463ee0b2 945pp_time
946pp_tms
947pp_trans
948pp_truncate
949pp_uc
950pp_ucfirst
951pp_umask
952pp_undef
953pp_unlink
954pp_unpack
955pp_unshift
956pp_unstack
957pp_untie
958pp_utime
959pp_values
960pp_vec
961pp_wait
962pp_waitpid
963pp_wantarray
964pp_warn
965pp_xor
c07a80fd 966pregcomp
967pregexec
968pregfree
463ee0b2 969prepend_elem
970push_return
971push_scope
463ee0b2 972q
973ref
974refkids
463ee0b2 975regdump
463ee0b2 976regnext
977regprop
978repeatcpy
979rninstr
ff68c719 980rsignal
981rsignal_save
982rsignal_state
983rsignal_restore
b3f56690 984runops
55497cff 985safecalloc
986safemalloc
987safefree
988saferealloc
989safexcalloc
990safexmalloc
991safexfree
992safexrealloc
c07a80fd 993same_dirent
55497cff 994save_I16
463ee0b2 995save_I32
996save_aptr
997save_ary
8990e307 998save_clearsv
999save_delete
c07a80fd 1000save_destructor
8990e307 1001save_freeop
1002save_freepv
1003save_freesv
5f05dabc 1004save_gp
463ee0b2 1005save_hash
1006save_hptr
1007save_int
1008save_item
5f05dabc 1009save_iv
463ee0b2 1010save_list
c07a80fd 1011save_long
463ee0b2 1012save_nogv
c07a80fd 1013save_pptr
463ee0b2 1014save_scalar
1015save_sptr
1016save_svref
c07a80fd 1017savepv
1018savepvn
463ee0b2 1019savestack_grow
463ee0b2 1020sawparens
1021scalar
1022scalarkids
1023scalarseq
1024scalarvoid
1025scan_const
1026scan_formline
1027scan_heredoc
1028scan_hex
1029scan_ident
1030scan_inputsymbol
1031scan_num
1032scan_oct
1033scan_pat
1034scan_prefix
1035scan_str
1036scan_subst
1037scan_trans
1038scan_word
1039scope
1040screaminstr
4633a7c4 1041setdefout
463ee0b2 1042setenv_getix
ff68c719 1043share_hek
f2f38ff6 1044sharepvn
c07a80fd 1045sighandler
463ee0b2 1046skipspace
a0d0e21e 1047stack_grow
8990e307 1048start_subparse
463ee0b2 1049sublex_done
1050sublex_start
1051sv_2bool
1052sv_2cv
a0d0e21e 1053sv_2io
463ee0b2 1054sv_2iv
1055sv_2mortal
1056sv_2nv
1057sv_2pv
ff68c719 1058sv_2uv
4633a7c4 1059sv_add_arena
463ee0b2 1060sv_backoff
a0d0e21e 1061sv_bless
463ee0b2 1062sv_catpv
1063sv_catpvn
1064sv_catsv
1065sv_chop
8990e307 1066sv_clean_all
a0d0e21e 1067sv_clean_objs
463ee0b2 1068sv_clear
1069sv_cmp
0c056c6b 1070sv_cmp_locale
1071sv_collxfrm
463ee0b2 1072sv_dec
55497cff 1073sv_derived_from
8990e307 1074sv_dump
463ee0b2 1075sv_eq
1076sv_free
c07a80fd 1077sv_free_arenas
463ee0b2 1078sv_gets
1079sv_grow
1080sv_inc
1081sv_insert
1082sv_isa
1fc4cb55 1083sv_isobject
463ee0b2 1084sv_len
1085sv_magic
1086sv_mortalcopy
8990e307 1087sv_newmortal
c07a80fd 1088sv_newref
463ee0b2 1089sv_peek
ff68c719 1090sv_pvn
c07a80fd 1091sv_pvn_force
463ee0b2 1092sv_ref
c07a80fd 1093sv_reftype
463ee0b2 1094sv_replace
8990e307 1095sv_report_used
463ee0b2 1096sv_reset
1097sv_setiv
1098sv_setnv
1099sv_setptrobj
1100sv_setpv
1101sv_setpvn
a0d0e21e 1102sv_setref_iv
c07a80fd 1103sv_setref_nv
a0d0e21e 1104sv_setref_pv
c07a80fd 1105sv_setref_pvn
463ee0b2 1106sv_setsv
55497cff 1107sv_setuv
0c056c6b 1108sv_taint
1109sv_tainted
463ee0b2 1110sv_unmagic
c07a80fd 1111sv_unref
0c056c6b 1112sv_untaint
463ee0b2 1113sv_upgrade
1114sv_usepvn
1115taint_env
463ee0b2 1116taint_proper
1117too_few_arguments
1118too_many_arguments
c07a80fd 1119unlnk
ff68c719 1120unshare_hek
f2f38ff6 1121unsharepvn
c07a80fd 1122utilize
463ee0b2 1123wait4pid
1124warn
1125watch
1126whichsig
a0d0e21e 1127xiv_arenaroot
8990e307 1128xiv_root
1129xnv_root
1130xpv_root
1131xrv_root
1132yyerror
55497cff 1133yydestruct
463ee0b2 1134yylex
1135yyparse
8990e307 1136yywarn